viernes, 13 de noviembre de 2020

 apuntes de clase 

Durante la sesión en línea realizamos un ejercicio del material compartido por el profesor en el software de Project Navigator de Xilinx. Dicho ejercicio es el siguiente:

En este se realizara  el encendió y apagado de un led utilizando  un cierto tiempo para que pase de apagado a encendido  en la siguiente parte se da a conocer el código 

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PWM_C is -- Declaración De Entra/Salida Port ( CLK : in STD_LOGIC; Led : out STD_LOGIC); end PWM_C; architecture Behavioral of PWM_C is -- Declaraciones constant t_span : integer := 4_999; signal counter : integer range 0 to t_span:=0; begin -- Update The Register Output On The Clock's Rising Edge process (clk) begin if (rising_edge(clk)) then counter <= counter +1; if counter = t_span then counter <=0; end if; end if; if counter <= 1_250 then Led <='1'; else Led <='0'; end if; end process; end Behavioral;


ESQUEMA RTL

PARTE INTERNA DE RTL

ESQUEMA DE TECNOLOGIA


SIMULACION





No hay comentarios:

Publicar un comentario

Contador de décadas:

Se comenzó este diseño mediante instanciacion de componentes para ello se crearon diversos elementos individuales los cuales fueron integrad...