miércoles, 4 de noviembre de 2020

 ACTIVIDAD DE CLASES 

REALIZACIÓN  DE FLIP FLOP  TIPO D  CON RELOJ IDENTIFICANDO  EL FUNCIONAMIENTO DEL PROGRAMA DE ESTO SE PUEDE VER CON LA SIMULACIÓN  DE COMO FUNCIONA EN LA SIMULACIÓN 


DIOSEÑO DE CODIGO 


----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;


-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;


-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;


entity acc2 is

    Port ( D : in  STD_LOGIC;

           clk : in  STD_LOGIC;

           q : out  STD_LOGIC;

           qn : out  STD_LOGIC);

end acc2;

architecture Behavioral of acc2 is

begin

process(clk)

begin

if rising_edge (clk) then

q <= d;

qn <= not D;

end if;

end process;

end Behavioral;


Segundo  paso  se demuestra en la simulación se debe aclarar que en el reloj se debe establecer un tiempo para las pulsaciones en caso de que no se pone  nos produce que no tengamos ninguna salida en qn




No hay comentarios:

Publicar un comentario

Contador de décadas:

Se comenzó este diseño mediante instanciacion de componentes para ello se crearon diversos elementos individuales los cuales fueron integrad...